[SV] 随机,真随机vs 伪随机 - bulaqi/IC-DV.github.io GitHub Wiki

运行

vcs tb.v -full64 -sverilog -R +ntb_random_seed=auto

代码

module hello_world;
	inital beign
		int q_rand;
		//std::randmize(q_rand);
                //std::randomize(q_rand) with(q_randinside{[1:31]};); // 正确,注意语法,编译器不会报错,randomize后有括号,括号内包含q_rand,然后with 约束
                std::randomize() with(q_randinside{[1:31]};); // 语法错误,编译器不会报错,randomize后有括号,括号内包含q_rand,然后with 约束

		$display("q_rand=%0d",q_rand);// 真随机,调用系统函数,
		$display("random_data=%0d",$random);//伪随机
	end
endmodule
⚠️ **GitHub.com Fallback** ⚠️