Library - tomas-fryza/vhdl-course GitHub Wiki

Always start each VHDL file with following two lines. The package from IEEE library allow us to add additional types, operators, functions, etc. to VHDL.

library ieee;               -- Standard library
use ieee.std_logic_1164.all;-- Package for data types and logic operations

If you need arithmetic operations add following line as well.

use ieee.numeric_std.all;   -- Package for arithmetic operations