Progress Snapshot - nosnhojn/uvm-utest GitHub Wiki

uvm_misc globals

Not Started In Progress Done
                           |                                            | uvm_instance_scope()
                           |                                            | uvm_oneway_hash()
                           |                                            | uvm_create_random_seed()
                           |                                            | uvm_object_value_str()
                           |                                            | uvm_leaf_scope()
                           |                                            | uvm_vector_to_string()
                           |                                            | uvm_get_array_index_int()
                           |                                            |  uvm_get_array_index_string()
                           |                                            | uvm_is_array()
                           |                                            | uvm_has_wildcard()

uvm_object

Not Started In Progress Done
                           |                                            | new()
                           |                                            | reseed()
                           |                                            | set_name()
                           |                                            | get_name()
                           |                                            | get_full_name()
                           |                                            | get_inst_id()
                           |                                            | get_inst_count()
                           |                                            | create()
                           |                                            | clone()
                           |                                            | print()
                           |                                            | sprint()
                           |                                            | do_print()
                           |                                            | convert2string()
                           |                                            | record()
                           |                                            | do_record()
                           |                                            | copy()
                           |                                            | do_copy()
                           |                                            | compare()
                           |                                            | do_compare()
                           |                                            | m_pack()
                           |                                            | pack()
                           |                                            | pack_bytes()
                           |                                            | pack_ints()
                           |                                            | do_pack()
                           |                                            | unpack() 
                           |                                            | unpack_bytes()
                           |                                            | unpack_ints() 
                           |                                            | do_unpack()
                           |                                            | set_int_local()
                           |                                            | set_object_local()
                           |                                            | set_string_local()
                           |                                            | __m_uvm_field_automation()
                           |                                            | m_get_report_object()

uvm_printer

Not Started In Progress Done
                           |                                            | new()
                           |                                            | print_int()
                           |                                            | print_field()
                           |                                            | print_object_header()
                           |                                            | print_object()
                           |                                            | print_string()
                           |                                            | print_time()
                           |                                            | print_real() 
                           |                                            | print_generic()
                           |                                            | emit()
                           |                                            | format_row()
                           |                                            | format_header()
                           |                                            | format_footer()
                           |                                            | adjust_name()
                           |                                            | print_array_header()
                           |                                            | print_array_range()
                           |                                            | print_array_footer()
                           |                                            | istop() 
                           |                                            | index_string()

uvm_printer_knobs

Not Started In Progress Done
                           |                                            | new()
                           |                                            | get_radix_str()

uvm_scope_stack

Not Started In Progress Done
                           |                                            | new()
                           |                                            | depth()
                           |                                            | get()
                           |                                            | get_arg()
                           |                                            | set()
                           |                                            | down()
                           |                                            | down_element()
                           |                                            | up_element()
                           |                                            | up()

uvm_status_container

Not Started In Progress Done
                           |                                            | new()
                           |                                            | do_field_check()
                           |                                            | get_function_type()
                           |                                            | get_full_scope_arg()
                           |                                            | m_do_cycle_check()

uvm_phase

Not Started In Progress Done
                                   | constructor                             |
                                   |                                         | get_phase_type() 

get_state() | | get_run_count() | | find_by_name() | | find() | | is() | | is_before() | | is_after() | | exec_func() | | exec_task() | | add() | | | | get_parent() get_full_name() | | | | get_schedule() get_schedule_name() | | | | get_domain() get_imp() | | get_domain_name() | | get_objection() | | raise_objection () | | drop_objection() | | sync() | | unsync() | | wait_for_state() | | jump() | | jump_all() | | get_jump_target() | | m_find_predecessor() | | | m_find_successor() | m_find_predecessor_by_name() | | m_find_successor_by_name() | | m_print_successors() | | traverse() | | execute() | | get_begin_node() | | | | get_end_node() get_ready_to_end_count() | | get_predecessors_for_successors() | | m_wait_for_pred() | | clear() | | clear_successors() | | m_run_phases() | | execute_phase() | | m_terminate_phase() | | m_print_termination_state() | | wait_for_self_and_siblings_to_drop() | | kill() | | kill_successors() | | convert2string() | | m_aa2string() | | is_domain() | | m_get_transitive_children() | |

uvm_component

Not Started In Progress Done
constructor tests
get_parent
get_full_name
get_children
get_child
get_next_child
get_first_child
get_num_children
has_child
set_name
lookup
get_depth
build_phase
build
connect_phase
connect
end_of_elaboration_phase
end_of_elaboration
start_of_simulation_phase
start_of_simulation
run_phase
run
pre_reset_phase
reset_phase
post_reset_phase
pre_configure_phase
configure_phase
post_configure_phase
pre_main_phase
main_phase
post_main_phase
pre_shutdown_phase
shutdown_phase
post_shutdown_phase
extract_phase
extract
check_phase
check
report_phase
report
final_phase
phase_started
phase_ready_to_end
phase_ended
set_domain
get_domain
define_domain
set_phase_imp
suspend
resume
resolve_bindings
massage_scope
set_config_int
set_config_string
set_config_object
get_config_int
get_config_string
get_config_object
check_config_usage
apply_config_settings
print_config_settings
print_config
print_config_with_audit
raised
dropped
all_dropped
create_component
create_object
set_type_override_by_type
set_inst_override_by_type
set_type_override
set_inst_override
print_override_info
set_report_id_verbosity_hier
set_report_severity_id_verbosity_hier
set_report_severity_action_hier
set_report_id_action_hier
set_report_severity_id_action_hier
set_report_default_file_hier
set_report_severity_file_hier
set_report_id_file_hier
set_report_severity_id_file_hier
set_report_verbosity_level_hier
pre_abort
accept_tr
do_accept_tr
begin_tr
begin_child_tr
do_begin_tr
end_tr
do_end_tr
record_error_tr
record_event_tr
m_add_child
m_set_full_name
do_resolve_bindings
do_flush
flush
m_extract_name
create
clone
m_begin_tr
get_type_name
do_print
m_set_cl_msg_args
m_set_cl_verb
m_set_cl_action
m_set_cl_sev
m_apply_verbosity_settings
m_do_pre_abort

uvm_reg_field

Not Started In Progress Done
constructor tests
configure
get_parent
get_full_name
get_register
get_lsb_pos
get_n_bits
get_max_size
is_known_access
get_access
set_access
define_access
m_predefine_policies
set_volatility
is_volatile
XpredictX
predict
do_predict
XupdateX
set
get
get_mirrored_value
reset
has_reset
get_reset
set_reset
needs_update
Xcheck_accessX
write
do_write
read
do_read
is_indv_accessible
poke
peek
mirror
set_compare
get_compare
pre_randomize
post_randomize
do_print
convert2string
clone
do_copy
do_compare
do_pack
do_unpack