Multicomp elaboration log - nealcrook/multicomp6809 GitHub Wiki

vsim work.microcomputer
# vsim work.microcomputer
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading ieee.numeric_std(body)
# Loading work.microcomputer(struct)
# Loading work.cpu09p(rtl)
# Loading work.m6809_camelforth_rom(syn)
# Loading altera_mf.altera_common_conversion(body)
# Loading altera_mf.altera_device_families(body)
# Loading altera_mf.altsyncram(translated)
# Loading work.sbctextdisplayrgb(rtl)
# Loading work.cgaboldromreduced(syn)
# Loading work.displayram2k(syn)
# Loading work.buffereduart(rtl)
# Loading work.sd_controller(rtl)
# Loading work.mem_mapper2(rtl)
# Loading work.gpio(rtl)
# ** Warning: Design size of 22 instances exceeds ModelSim ALTERA recommended capacity.
# This may because you are loading cell libraries which are not recommended with
# the ModelSim Altera version. Expect performance to be adversely affected.