zynq7020小板子引脚约束 - minichao9901/TangNano-20k-Zynq-7020 GitHub Wiki

D1 CLK/KEY/LED/GPIO引脚定义

create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports sys_clk]

set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVCMOS33} [get_ports key0]
set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS33} [get_ports key1]

set_property -dict {PACKAGE_PIN F17 IOSTANDARD LVCMOS33} [get_ports led[3]]
set_property -dict {PACKAGE_PIN F16 IOSTANDARD LVCMOS33} [get_ports led[2]]
set_property -dict {PACKAGE_PIN E18 IOSTANDARD LVCMOS33} [get_ports led[1]]
set_property -dict {PACKAGE_PIN E17 IOSTANDARD LVCMOS33} [get_ports led[0]]


set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports gpio1[0]]
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS33} [get_ports gpio1[1]]
set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS33} [get_ports gpio1[2]]
set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports gpio1[3]]
set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports gpio1[4]]
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports gpio1[5]]
set_property -dict {PACKAGE_PIN K17 IOSTANDARD LVCMOS33} [get_ports gpio1[6]]
set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS33} [get_ports gpio1[7]]
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports gpio1[8]]
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS33} [get_ports gpio1[9]]
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS33} [get_ports gpio1[10]]
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports gpio1[11]]
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS33} [get_ports gpio1[12]]
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports gpio1[13]]
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS33} [get_ports gpio1[14]]
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports gpio1[15]]
set_property -dict {PACKAGE_PIN V20 IOSTANDARD LVCMOS33} [get_ports gpio1[16]]
set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS33} [get_ports gpio1[17]]
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS33} [get_ports gpio2[0]]
set_property -dict {PACKAGE_PIN Y19 IOSTANDARD LVCMOS33} [get_ports gpio2[1]]
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS33} [get_ports gpio2[2]]
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS33} [get_ports gpio2[3]]
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports gpio2[4]]
set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS33} [get_ports gpio2[5]]
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS33} [get_ports gpio2[6]]
set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS33} [get_ports gpio2[7]]
set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS33} [get_ports gpio2[8]]
set_property -dict {PACKAGE_PIN Y14 IOSTANDARD LVCMOS33} [get_ports gpio2[9]]
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS33} [get_ports gpio2[10]]
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports gpio2[11]]
set_property -dict {PACKAGE_PIN V16 IOSTANDARD LVCMOS33} [get_ports gpio2[12]]
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS33} [get_ports gpio2[13]]
set_property -dict {PACKAGE_PIN U15 IOSTANDARD LVCMOS33} [get_ports gpio2[14]]
set_property -dict {PACKAGE_PIN U14 IOSTANDARD LVCMOS33} [get_ports gpio2[15]]
set_property -dict {PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports gpio2[16]]
set_property -dict {PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports gpio2[17]]

D2 V1/V2扩展板

st7796 8080

set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports im[2]]
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS33} [get_ports im[1]]
set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS33} [get_ports im[0]]

set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports dout[15]]
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS33} [get_ports dout[14]]
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS33} [get_ports dout[13]]
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports dout[12]]
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS33} [get_ports dout[11]]
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports dout[10]]
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS33} [get_ports dout[9]]
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports dout[8]]
set_property -dict {PACKAGE_PIN V20 IOSTANDARD LVCMOS33} [get_ports dout[7]]
set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS33} [get_ports dout[6]]
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS33} [get_ports dout[5]]
set_property -dict {PACKAGE_PIN Y19 IOSTANDARD LVCMOS33} [get_ports dout[4]]
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS33} [get_ports dout[3]]
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS33} [get_ports dout[2]]
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports dout[1]]
set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS33} [get_ports dout[0]]

set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS33} [get_ports rst_o]
set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS33} [get_ports miso]
set_property -dict {PACKAGE_PIN Y14 IOSTANDARD LVCMOS33} [get_ports mosi]
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS33} [get_ports rdx]
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports wrx]
set_property -dict {PACKAGE_PIN V16 IOSTANDARD LVCMOS33} [get_ports dcx]
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS33} [get_ports csx]
set_property -dict {PACKAGE_PIN U15 IOSTANDARD LVCMOS33} [get_ports te]

gc9b71 qspi

set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports rst_o]
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports csx]
set_property -dict {PACKAGE_PIN K17 IOSTANDARD LVCMOS33} [get_ports sck]

set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports dout[3]]
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS33} [get_ports dout[2]]
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS33} [get_ports dout[1]]
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports dout[0]]
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS33} [get_ports te]

原子rgb

set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[16]]
set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[17]]
set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[18]]
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[19]]
set_property -dict {PACKAGE_PIN K17 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[20]]
set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[21]]
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[22]]
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[23]]

set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[8]]
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[9]]
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[10]]
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[11]]
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[12]]
set_property -dict {PACKAGE_PIN V20 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[13]]
set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[14]]
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[15]]

set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[0]]
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[1]]
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[2]]
set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[3]]
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[4]]
set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[5]]
set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[6]]
set_property -dict {PACKAGE_PIN Y14 IOSTANDARD LVCMOS33} [get_ports lcd_rgb_o[7]]

set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports lcd_lcd_pclk]
set_property -dict {PACKAGE_PIN V16 IOSTANDARD LVCMOS33} [get_ports lcd_lcd_hs]
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS33} [get_ports lcd_lcd_vs]
set_property -dict {PACKAGE_PIN U15 IOSTANDARD LVCMOS33} [get_ports lcd_lcd_de]
set_property -dict {PACKAGE_PIN U14 IOSTANDARD LVCMOS33} [get_ports lcd_bl0]

HDMI

set_property IOSTANDARD LVCMOS33 [get_ports {HDMI_HPD_tri_i[0]}]
set_property PACKAGE_PIN D18 [get_ports {HDMI_HPD_tri_i[0]}]

set_property PACKAGE_PIN F19 [get_ports TMDS_0_clk_p]
set_property PACKAGE_PIN D19 [get_ports {TMDS_0_data_p[0]}]
set_property PACKAGE_PIN C20 [get_ports {TMDS_0_data_p[1]}]
set_property PACKAGE_PIN B19 [get_ports {TMDS_0_data_p[2]}]

D3 附录: