z7nano的引脚约束 - minichao9901/TangNano-20k-Zynq-7020 GitHub Wiki

扩展板v1

1. CLK/RSTn(Board)

create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS33} [get_ports sys_clk]
set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports sys_rst_n]

2. UART/LED/KEY(P2)

# GPIO2
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports UART_rxd]
set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS33} [get_ports UART_txd]

set_property -dict {PACKAGE_PIN K16 IOSTANDARD LVCMOS33} [get_ports {LED_tri_io[0]}]
set_property -dict {PACKAGE_PIN K19 IOSTANDARD LVCMOS33} [get_ports {LED_tri_io[1]}]
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports {LED_tri_io[2]}]
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS33} [get_ports {LED_tri_io[3]}]

set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS33} [get_ports {KEY_tri_i[0]}]
set_property -dict {PACKAGE_PIN L20 IOSTANDARD LVCMOS33} [get_ports {KEY_tri_i[1]}]

set_property PULLUP true [get_ports {KEY_tri_i[0]}]
set_property PULLUP true [get_ports {KEY_tri_i[1]}]

3. HDMI

#HDMI
set_property -dict {PACKAGE_PIN N20  IOSTANDARD TMDS_33 } [get_ports {TMDS_tmds_data_p[2]}]
set_property -dict {PACKAGE_PIN T20  IOSTANDARD TMDS_33 } [get_ports {TMDS_tmds_data_p[1]}]
set_property -dict {PACKAGE_PIN V20  IOSTANDARD TMDS_33 } [get_ports {TMDS_tmds_data_p[0]}]
set_property -dict {PACKAGE_PIN U18  IOSTANDARD TMDS_33 } [get_ports  TMDS_tmds_clk_p]

4. ov5640(P2)

#GPIO2					
#    PIN	  ·PIN		  ·PIN	    PIN	
#1	H15	3V3	2	G15	GND
#3	F16	cam_vsync	4	F17	emio_sccb_tri_io[0]
#5	E17	cam_href	6	D18	emio_sccb_tri_io[1]
#7	E18	cam_rst_n	8	E19	cam_data[0]
#9	G17	cam_data[1]	10	G18	cam_data[2]
#11	H16	cam_data[3]	12	H17	cam_data[4]
#13	B19	cam_data[5]	14	A20	cam_data[6]
#15	C20	cam_data[7]	16	B20	cam_pclk
#17	D19	cam_flash	18	D20	cam_pwdn

#----------------------摄像头接口的时钟---------------------------
#72M
create_clock -period 13.888 -name cam_pclk [get_ports cam_pclk]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cam_pclk_IBUF]

#----------------------摄像头接口---------------------------
set_property -dict {PACKAGE_PIN E18 IOSTANDARD LVCMOS33} [get_ports cam_rst_n]
set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports cam_pwdn]
set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS33} [get_ports {cam_data[0]}]
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS33} [get_ports {cam_data[1]}]
set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports {cam_data[2]}]
set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports {cam_data[3]}]
set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports {cam_data[4]}]
set_property -dict {PACKAGE_PIN B19 IOSTANDARD LVCMOS33} [get_ports {cam_data[5]}]
set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS33} [get_ports {cam_data[6]}]
set_property -dict {PACKAGE_PIN C20 IOSTANDARD LVCMOS33} [get_ports {cam_data[7]}]
set_property -dict {PACKAGE_PIN E17 IOSTANDARD LVCMOS33} [get_ports cam_href]
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33} [get_ports cam_pclk]
set_property -dict {PACKAGE_PIN F16 IOSTANDARD LVCMOS33} [get_ports cam_vsync]

#cam_scl:
set_property -dict {PACKAGE_PIN F17 IOSTANDARD LVCMOS33} [get_ports {emio_sccb_tri_io[0]}]
#cam_sda:
set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVCMOS33} [get_ports {emio_sccb_tri_io[1]}]

set_property PULLUP true [get_ports {emio_sccb_tri_io[1]}]

4. ov5640(P1)

#GPIO1					
#    PIN	  ·PIN		  ·PIN	    PIN	
#1	N17	3V3	2	P18	GND
#3	R16	cam_vsync	4	R17	emio_sccb_tri_io[0]
#5	T17	cam_href	6	R18	emio_sccb_tri_io[1]
#7	T16	cam_rst_n	8	U17	cam_data[0]
#9	W18	cam_data[1]	10	W19	cam_data[2]
#11	Y18	cam_data[3]	12	Y19	cam_data[4]
#13	Y16	cam_data[5]	14	Y17	cam_data[6]
#15	V17	cam_data[7]	16	V18	cam_pclk
#17	V16	cam_flash	18	W16	cam_pwdn

#----------------------摄像头接口的时钟---------------------------
#72M
create_clock -period 13.888 -name cam_pclk [get_ports cam_pclk]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cam_pclk_IBUF]

#----------------------摄像头接口---------------------------
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS33} [get_ports cam_rst_n]
set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS33} [get_ports cam_pwdn]
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports {cam_data[0]}]
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS33} [get_ports {cam_data[1]}]
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS33} [get_ports {cam_data[2]}]
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS33} [get_ports {cam_data[3]}]
set_property -dict {PACKAGE_PIN Y19 IOSTANDARD LVCMOS33} [get_ports {cam_data[4]}]
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS33} [get_ports {cam_data[5]}]
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports {cam_data[6]}]
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports {cam_data[7]}]
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS33} [get_ports cam_href]
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS33} [get_ports cam_pclk]
set_property -dict {PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports cam_vsync]
#cam_scl:
set_property -dict {PACKAGE_PIN R17 IOSTANDARD LVCMOS33} [get_ports {emio_sccb_tri_io[0]}]
#cam_sda:
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports {emio_sccb_tri_io[1]}]

set_property PULLUP true [get_ports {emio_sccb_tri_io[1]}]

5. RGB_LCD(P2)

# LCD_GPIO2
set_property -dict {PACKAGE_PIN F16 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[16]}]
set_property -dict {PACKAGE_PIN F17 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[17]}]
set_property -dict {PACKAGE_PIN E17 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[18]}]
set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[19]}]
set_property -dict {PACKAGE_PIN E18 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[20]}]
set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[21]}]
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[22]}]
set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[23]}]

set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[8]}]
set_property -dict {PACKAGE_PIN B19 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[9]}]
set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[10]}]
set_property -dict {PACKAGE_PIN C20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[11]}]
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[12]}]
set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[13]}]
set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[14]}]
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[15]}]

set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[0]}]
set_property -dict {PACKAGE_PIN F20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[1]}]
set_property -dict {PACKAGE_PIN G19 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[2]}]
set_property -dict {PACKAGE_PIN G20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[3]}]
set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[4]}]
set_property -dict {PACKAGE_PIN H20 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[5]}]
set_property -dict {PACKAGE_PIN K16 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[6]}]
set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports {lcd_rgb_tri_io[7]}]

set_property -dict {PACKAGE_PIN J19 IOSTANDARD LVCMOS33} [get_ports lcd_clk]
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports lcd_hs]
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS33} [get_ports lcd_vs]
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS33} [get_ports lcd_de]
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports lcd_bl]

5.RGB_LCD(P1)

6.ADC_DAC(P2)

#15	C20		16	B20	da_clk
#17	D19	da_data[7]	18	D20	da_data[6]
#19	J18	da_data[5]	20	H18	da_data[4]
#21	F19	da_data[3]	22	F20	da_data[2]
#23	G19	da_data[1]	24	G20	da_data[0]

set_property IOSTANDARD LVCMOS33 [get_ports {da_data[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {da_data[0]}]
set_property PACKAGE_PIN G20 [get_ports {da_data[0]}]
set_property PACKAGE_PIN G19 [get_ports {da_data[1]}]
set_property PACKAGE_PIN F20 [get_ports {da_data[2]}]
set_property PACKAGE_PIN F19 [get_ports {da_data[3]}]
set_property PACKAGE_PIN H18 [get_ports {da_data[4]}]
set_property PACKAGE_PIN J18 [get_ports {da_data[5]}]
set_property PACKAGE_PIN D20 [get_ports {da_data[6]}]
set_property PACKAGE_PIN D19 [get_ports {da_data[7]}]
set_property PACKAGE_PIN B20 [get_ports da_clk]

set_property SLEW FAST [get_ports {da_data[*]}]
set_property SLEW FAST [get_ports da_clk]

set_property IOSTANDARD LVCMOS33 [get_ports {da_data[*]}]
set_property IOSTANDARD LVCMOS33 [get_ports da_clk]

set_property PACKAGE_PIN P14 [get_ports {KEY_tri_io}]
set_property IOSTANDARD LVCMOS33 [get_ports {KEY_tri_io}]

#物理PIN	电路PIN		电路PIN	物理PIN	
#1	H15	5V	2	G15	GND
#3	F16		4	F17	GND
#5	E17	ad_data[0]	6	D18	ad_data[1]
#7	E18	ad_data[2]	8	E19	ad_data[3]
#9	G17	ad_data[4]	10	G18	ad_data[5]
#11	H16	ad_data[6]	12	H17	ad_data[7]
#13	B19	ad_otr	14	A20	ad_clk

set_property PACKAGE_PIN A20 [get_ports ad_clk]
set_property PACKAGE_PIN E17 [get_ports {ad_data[0]}]
set_property PACKAGE_PIN D18 [get_ports {ad_data[1]}]
set_property PACKAGE_PIN E18 [get_ports {ad_data[2]}]
set_property PACKAGE_PIN E19 [get_ports {ad_data[3]}]
set_property PACKAGE_PIN G17 [get_ports {ad_data[4]}]
set_property PACKAGE_PIN G18 [get_ports {ad_data[5]}]
set_property PACKAGE_PIN H16 [get_ports {ad_data[6]}]
set_property PACKAGE_PIN H17 [get_ports {ad_data[7]}]

set_property SLEW FAST [get_ports ad_clk]

set_property IOSTANDARD LVCMOS33 [get_ports ad_clk]
set_property IOSTANDARD LVCMOS33 [get_ports {ad_data[*]}]

6.ADC_DAC(P1)

7.PL_Eth

#########################ethernet port######################
create_clock -period 8.000   [get_ports rgmii_rtl_0_rxc]
set_property PACKAGE_PIN K17 [get_ports rgmii_rtl_0_rxc]
set_property PACKAGE_PIN K18 [get_ports rgmii_rtl_0_rx_ctl]
set_property PACKAGE_PIN M17 [get_ports {rgmii_rtl_0_rd[3]}]
set_property PACKAGE_PIN M18 [get_ports {rgmii_rtl_0_rd[2]}]
set_property PACKAGE_PIN K14 [get_ports {rgmii_rtl_0_rd[1]}]
set_property PACKAGE_PIN J14 [get_ports {rgmii_rtl_0_rd[0]}]
set_property PACKAGE_PIN L14 [get_ports rgmii_rtl_0_txc]
set_property PACKAGE_PIN N16 [get_ports rgmii_rtl_0_tx_ctl]
set_property PACKAGE_PIN N15 [get_ports {rgmii_rtl_0_td[3]}]
set_property PACKAGE_PIN M15 [get_ports {rgmii_rtl_0_td[2]}]
set_property PACKAGE_PIN L15 [get_ports {rgmii_rtl_0_td[1]}]
set_property PACKAGE_PIN M14 [get_ports {rgmii_rtl_0_td[0]}]
set_property PACKAGE_PIN J15 [get_ports mdio_rtl_0_mdio_io]
set_property PACKAGE_PIN G14 [get_ports mdio_rtl_0_mdc]
#set_property PACKAGE_PIN L19 [get_ports reset_rtl_0]   

set_property IOSTANDARD LVCMOS33 [get_ports rgmii_rtl_0_rxc]
set_property IOSTANDARD LVCMOS33 [get_ports rgmii_rtl_0_rx_ctl]
set_property IOSTANDARD LVCMOS33 [get_ports {rgmii_rtl_0_rd[*]}]
set_property IOSTANDARD LVCMOS33 [get_ports rgmii_rtl_0_txc]
set_property IOSTANDARD LVCMOS33 [get_ports rgmii_rtl_0_tx_ctl]
set_property IOSTANDARD LVCMOS33 [get_ports {rgmii_rtl_0_td[*]}]
set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl_0]
set_property IOSTANDARD LVCMOS33 [get_ports mdio_rtl_0_mdio_io]
set_property IOSTANDARD LVCMOS33 [get_ports mdio_rtl_0_mdc]

扩展板v2

1. led_key_spi_i2c

set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS33} [get_ports {key[0]}]
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports {key[1]}]

set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33} [get_ports {led[0]}]
set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports {led[1]}]
set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVCMOS33} [get_ports {led[2]}]
set_property -dict {PACKAGE_PIN F20 IOSTANDARD LVCMOS33} [get_ports {led[3]}]

set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS33} [get_ports scl]
set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports sda]

set_property -dict {PACKAGE_PIN C20 IOSTANDARD LVCMOS33} [get_ports qcs]
set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports qclk]
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS33} [get_ports {qd_mosi[0]}]
set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS33} [get_ports {qd_miso[1]}]
set_property -dict {PACKAGE_PIN G19 IOSTANDARD LVCMOS33} [get_ports {qd_wp[2]}] 
set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS33} [get_ports {qd_hold[3]}]

小梅哥EDA V3扩展板

create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS33} [get_ports sys_clk]
set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports sys_rst_n]

#GPIO1-EDA-V3
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS33} [get_ports {sw[1]}]
set_property -dict {PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports {sw[3]}]
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS33} [get_ports {sw[5]}]
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS33} [get_ports {sw[7]}]
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS33} [get_ports scl]
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS33} [get_ports dio]
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS33} [get_ports sclk]
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports {led[1]}]
set_property -dict {PACKAGE_PIN V16 IOSTANDARD LVCMOS33} [get_ports {led[3]}]
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS33} [get_ports {key[1]}]
set_property -dict {PACKAGE_PIN U14 IOSTANDARD LVCMOS33} [get_ports {key[3]}]
set_property -dict {PACKAGE_PIN U13 IOSTANDARD LVCMOS33} [get_ports {led[4]}]
set_property -dict {PACKAGE_PIN T12 IOSTANDARD LVCMOS33} [get_ports {led[6]}]
set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS33} [get_ports txd]
set_property -dict {PACKAGE_PIN V12 IOSTANDARD LVCMOS33} [get_ports ir]
set_property -dict {PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports adc_sdat]
set_property -dict {PACKAGE_PIN T11 IOSTANDARD LVCMOS33} [get_ports adc_csn]
set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports dac_sclk]

set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports {sw[0]}]
set_property -dict {PACKAGE_PIN R17 IOSTANDARD LVCMOS33} [get_ports {sw[2]}]
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports {sw[4]}]
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports {sw[6]}]
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS33} [get_ports sda]
set_property -dict {PACKAGE_PIN Y19 IOSTANDARD LVCMOS33} [get_ports rclk]
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports {led[0]}]
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS33} [get_ports {led[2]}]
set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS33} [get_ports {key[0]}]
set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS33} [get_ports {key[2]}]
set_property -dict {PACKAGE_PIN U15 IOSTANDARD LVCMOS33} [get_ports {key[4]}]
set_property -dict {PACKAGE_PIN V13 IOSTANDARD LVCMOS33} [get_ports {led[5]}]
set_property -dict {PACKAGE_PIN U12 IOSTANDARD LVCMOS33} [get_ports {led[7]}]
set_property -dict {PACKAGE_PIN Y14 IOSTANDARD LVCMOS33} [get_ports rxd]
set_property -dict {PACKAGE_PIN W13 IOSTANDARD LVCMOS33} [get_ports adc_sclk]
set_property -dict {PACKAGE_PIN T15 IOSTANDARD LVCMOS33} [get_ports adc_saddr]
set_property -dict {PACKAGE_PIN T10 IOSTANDARD LVCMOS33} [get_ports dac_din]
set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVCMOS33} [get_ports dac_csn]



#GPIO2-EDA-V3
#set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports {sw[1]}]
#set_property -dict {PACKAGE_PIN F16 IOSTANDARD LVCMOS33} [get_ports {sw[3]}]
#set_property -dict {PACKAGE_PIN E17 IOSTANDARD LVCMOS33} [get_ports {sw[5]}]
#set_property -dict {PACKAGE_PIN E18 IOSTANDARD LVCMOS33} [get_ports {sw[7]}]
#set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS33} [get_ports scl]
#set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports dio]
#set_property -dict {PACKAGE_PIN B19 IOSTANDARD LVCMOS33} [get_ports sclk]
#set_property -dict {PACKAGE_PIN C20 IOSTANDARD LVCMOS33} [get_ports {led[1]}]
#set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports {led[3]}]
#set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS33} [get_ports {key[1]}]
#set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS33} [get_ports {key[3]}]
#set_property -dict {PACKAGE_PIN G19 IOSTANDARD LVCMOS33} [get_ports {led[4]}]
#set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS33} [get_ports {led[6]}]
#set_property -dict {PACKAGE_PIN K16 IOSTANDARD LVCMOS33} [get_ports txd]
#set_property -dict {PACKAGE_PIN K19 IOSTANDARD LVCMOS33} [get_ports ir]
#set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports adc_sdat]
#set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS33} [get_ports adc_csn]
#set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS33} [get_ports dac_sclk]

#set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS33} [get_ports {sw[0]}]
#set_property -dict {PACKAGE_PIN F17 IOSTANDARD LVCMOS33} [get_ports {sw[2]}]
#set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVCMOS33} [get_ports {sw[4]}]
#set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS33} [get_ports {sw[6]}]
#set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports sda]
#set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports rclk]
#set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS33} [get_ports {led[0]}]
#set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33} [get_ports {led[2]}]
#set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports {key[0]}]
#set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVCMOS33} [get_ports {key[2]}]
#set_property -dict {PACKAGE_PIN F20 IOSTANDARD LVCMOS33} [get_ports {key[4]}]
#set_property -dict {PACKAGE_PIN G20 IOSTANDARD LVCMOS33} [get_ports {led[5]}]
#set_property -dict {PACKAGE_PIN H20 IOSTANDARD LVCMOS33} [get_ports {led[7]}]
#set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports rxd]
#set_property -dict {PACKAGE_PIN J19 IOSTANDARD LVCMOS33} [get_ports adc_sclk]
#set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS33} [get_ports adc_saddr]
#set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports dac_din]
#set_property -dict {PACKAGE_PIN L20 IOSTANDARD LVCMOS33} [get_ports dac_csn]