Tarjeta ColorLight 5A 75B V8.0 - Obijuan/Cuadernos-tecnicos-FPGAs-libres GitHub Wiki

Contenido

Introducción

Enlaces de compra

Conexión para cargar bitstreams

Lo primero es alimentar la Colorlight con 5 voltios, usando las bornas con tornillos de la parte superior izquierda (Conector J18)

Para la conexión al programador necesitamos usar 5 cables hembra-hembra. Uno de los cables es GND, y los otros 4 son TCK, TMS, TDI, TDO

Estos son los cables en el lado del programador JTAG

Aquí se pueden ver las dos placas conectadas:

Pruebas con Apio

Una vez instalado apio 0.8.1 ó superior instalamos el paquete de ejemplos:

obijuan@Hoth:~/temp$ apio --version
apio, version 0.8.1
obijuan@Hoth:~/temp$ apio install examples
Installing examples package:
Download apio-examples-0.0.34.zip
Downloading  [####################################]  100%             
Unpacking  [####################################]  100%
Package 'examples' has been successfully installed!
obijuan@Hoth:~/temp$

Y ahora bajamos los ejemplos de la ColorLight:

obijuan@Hoth:~/temp$ apio examples -d ColorLight-5A-75B-V8/
Creating ColorLight-5A-75B-V8/ directory ...
Example 'ColorLight-5A-75B-V8/' has been successfully created!
obijuan@Hoth:~/temp$

Probamos el ejemplo del LEDon para encender el led:

obijuan@Hoth:~/temp$ cd ColorLight-5A-75B-V8/Ledon/
obijuan@Hoth:~/temp/ColorLight-5A-75B-V8/Ledon$ apio upload
(DEBUG) Profile path: /home/obijuan/.apio/profile.json
(DEBUG) Home_dir: /home/obijuan/.apio

PATH: /home/obijuan/.apio/packages/tools-oss-cad-suite/bin:/home/obijuan/.apio/packages/tools-oss-cad-suite/lib:/home/obijuan/.nvm/versions/node/v17.3.0/bin:/home/obijuan/.cargo/bin:/home/obijuan/.local/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin:/home/obijuan/Bin/cpctelera-1.4.2/cpctelera/tools/scripts

[Thu Jun 23 20:08:07 2022] Processing ColorLight-5A-75B-V8
--------------------------------------------------------------------------------
yosys -p "synth_ecp5 -json hardware.json" -q ledon.v
nextpnr-ecp5 --25k --package CABGA256 --json hardware.json --textcfg hardware.config --lpf pinout.lpf -q --timing-allow-fail --force
sed: cant read /home/obijuan/.apio/packages/tools-oss-cad-suite/etc/fonts/fonts.conf.template: No such file or directory
ecppack --db /home/obijuan/.apio/packages/tools-oss-cad-suite/share/trellis/database hardware.config hardware.bit
openFPGALoader -c ft2232 hardware.bit
write to ram
Jtag frequency : requested 6.00MHz   -> real 6.00MHz
Open file DONE
Parse file DONE
Enable configuration: DONE
SRAM erase: DONE

Loading: [] 100.000000%
Done
Disable configuration: DONE
==================================================
========================= [SUCCESS] Took 2.15 seconds =========================

El LED verde (a la derecha del LED rojo) estará encendido:

Pruebas con Icestudio

Este es el mismo circuito pero en Icestudio: simplemente enciende el LED. Funciona con lógica negativa, por lo que hay que ponerlo a 0 para encenderlo

(01-Ledon.ice)

Este otro ejemplo hace que el LED parpadee. Si se aprieta el botón de pruebas, el LED permanece encendido. Al soltarlo el LED parpadeará

(02-Blinking-LED.ice)

Créditos

  • Gracias a Fernando Mosquera, por añadir el soporte de la placa ColorLight a Apio/Icestudio, y por la documentación sobre la ColorLight. ¡Gracias!